Commit 2e8c07c3 authored by Divy Le Ray's avatar Divy Le Ray Committed by David S. Miller

cxgb3: use request_firmware() for the EDC registers setup

use request_firmware() to load the phy's EDC programmation
Signed-off-by: default avatarDivy Le Ray <divy@chelsio.com>
Signed-off-by: default avatarDavid S. Miller <davem@davemloft.net>
parent 5e659515
......@@ -320,4 +320,6 @@ int t3_get_desc(const struct sge_qset *qs, unsigned int qnum, unsigned int idx,
unsigned char *data);
irqreturn_t t3_sge_intr_msix(int irq, void *cookie);
int t3_get_edc_fw(struct cphy *phy, int edc_idx, int size);
#endif /* __T3_ADAPTER_H__ */
......@@ -298,279 +298,7 @@ static int ael2005_setup_sr_edc(struct cphy *phy)
{ MDIO_MMD_PMAPMD, 0xc04a, 0xffff, 0x5200 },
{ 0, 0, 0, 0 }
};
static u16 sr_edc[] = {
0xcc00, 0x2ff4,
0xcc01, 0x3cd4,
0xcc02, 0x2015,
0xcc03, 0x3105,
0xcc04, 0x6524,
0xcc05, 0x27ff,
0xcc06, 0x300f,
0xcc07, 0x2c8b,
0xcc08, 0x300b,
0xcc09, 0x4009,
0xcc0a, 0x400e,
0xcc0b, 0x2f72,
0xcc0c, 0x3002,
0xcc0d, 0x1002,
0xcc0e, 0x2172,
0xcc0f, 0x3012,
0xcc10, 0x1002,
0xcc11, 0x25d2,
0xcc12, 0x3012,
0xcc13, 0x1002,
0xcc14, 0xd01e,
0xcc15, 0x27d2,
0xcc16, 0x3012,
0xcc17, 0x1002,
0xcc18, 0x2004,
0xcc19, 0x3c84,
0xcc1a, 0x6436,
0xcc1b, 0x2007,
0xcc1c, 0x3f87,
0xcc1d, 0x8676,
0xcc1e, 0x40b7,
0xcc1f, 0xa746,
0xcc20, 0x4047,
0xcc21, 0x5673,
0xcc22, 0x2982,
0xcc23, 0x3002,
0xcc24, 0x13d2,
0xcc25, 0x8bbd,
0xcc26, 0x2862,
0xcc27, 0x3012,
0xcc28, 0x1002,
0xcc29, 0x2092,
0xcc2a, 0x3012,
0xcc2b, 0x1002,
0xcc2c, 0x5cc3,
0xcc2d, 0x314,
0xcc2e, 0x2942,
0xcc2f, 0x3002,
0xcc30, 0x1002,
0xcc31, 0xd019,
0xcc32, 0x2032,
0xcc33, 0x3012,
0xcc34, 0x1002,
0xcc35, 0x2a04,
0xcc36, 0x3c74,
0xcc37, 0x6435,
0xcc38, 0x2fa4,
0xcc39, 0x3cd4,
0xcc3a, 0x6624,
0xcc3b, 0x5563,
0xcc3c, 0x2d42,
0xcc3d, 0x3002,
0xcc3e, 0x13d2,
0xcc3f, 0x464d,
0xcc40, 0x2862,
0xcc41, 0x3012,
0xcc42, 0x1002,
0xcc43, 0x2032,
0xcc44, 0x3012,
0xcc45, 0x1002,
0xcc46, 0x2fb4,
0xcc47, 0x3cd4,
0xcc48, 0x6624,
0xcc49, 0x5563,
0xcc4a, 0x2d42,
0xcc4b, 0x3002,
0xcc4c, 0x13d2,
0xcc4d, 0x2ed2,
0xcc4e, 0x3002,
0xcc4f, 0x1002,
0xcc50, 0x2fd2,
0xcc51, 0x3002,
0xcc52, 0x1002,
0xcc53, 0x004,
0xcc54, 0x2942,
0xcc55, 0x3002,
0xcc56, 0x1002,
0xcc57, 0x2092,
0xcc58, 0x3012,
0xcc59, 0x1002,
0xcc5a, 0x5cc3,
0xcc5b, 0x317,
0xcc5c, 0x2f72,
0xcc5d, 0x3002,
0xcc5e, 0x1002,
0xcc5f, 0x2942,
0xcc60, 0x3002,
0xcc61, 0x1002,
0xcc62, 0x22cd,
0xcc63, 0x301d,
0xcc64, 0x2862,
0xcc65, 0x3012,
0xcc66, 0x1002,
0xcc67, 0x2ed2,
0xcc68, 0x3002,
0xcc69, 0x1002,
0xcc6a, 0x2d72,
0xcc6b, 0x3002,
0xcc6c, 0x1002,
0xcc6d, 0x628f,
0xcc6e, 0x2112,
0xcc6f, 0x3012,
0xcc70, 0x1002,
0xcc71, 0x5aa3,
0xcc72, 0x2dc2,
0xcc73, 0x3002,
0xcc74, 0x1312,
0xcc75, 0x6f72,
0xcc76, 0x1002,
0xcc77, 0x2807,
0xcc78, 0x31a7,
0xcc79, 0x20c4,
0xcc7a, 0x3c24,
0xcc7b, 0x6724,
0xcc7c, 0x1002,
0xcc7d, 0x2807,
0xcc7e, 0x3187,
0xcc7f, 0x20c4,
0xcc80, 0x3c24,
0xcc81, 0x6724,
0xcc82, 0x1002,
0xcc83, 0x2514,
0xcc84, 0x3c64,
0xcc85, 0x6436,
0xcc86, 0xdff4,
0xcc87, 0x6436,
0xcc88, 0x1002,
0xcc89, 0x40a4,
0xcc8a, 0x643c,
0xcc8b, 0x4016,
0xcc8c, 0x8c6c,
0xcc8d, 0x2b24,
0xcc8e, 0x3c24,
0xcc8f, 0x6435,
0xcc90, 0x1002,
0xcc91, 0x2b24,
0xcc92, 0x3c24,
0xcc93, 0x643a,
0xcc94, 0x4025,
0xcc95, 0x8a5a,
0xcc96, 0x1002,
0xcc97, 0x2731,
0xcc98, 0x3011,
0xcc99, 0x1001,
0xcc9a, 0xc7a0,
0xcc9b, 0x100,
0xcc9c, 0xc502,
0xcc9d, 0x53ac,
0xcc9e, 0xc503,
0xcc9f, 0xd5d5,
0xcca0, 0xc600,
0xcca1, 0x2a6d,
0xcca2, 0xc601,
0xcca3, 0x2a4c,
0xcca4, 0xc602,
0xcca5, 0x111,
0xcca6, 0xc60c,
0xcca7, 0x5900,
0xcca8, 0xc710,
0xcca9, 0x700,
0xccaa, 0xc718,
0xccab, 0x700,
0xccac, 0xc720,
0xccad, 0x4700,
0xccae, 0xc801,
0xccaf, 0x7f50,
0xccb0, 0xc802,
0xccb1, 0x7760,
0xccb2, 0xc803,
0xccb3, 0x7fce,
0xccb4, 0xc804,
0xccb5, 0x5700,
0xccb6, 0xc805,
0xccb7, 0x5f11,
0xccb8, 0xc806,
0xccb9, 0x4751,
0xccba, 0xc807,
0xccbb, 0x57e1,
0xccbc, 0xc808,
0xccbd, 0x2700,
0xccbe, 0xc809,
0xccbf, 0x000,
0xccc0, 0xc821,
0xccc1, 0x002,
0xccc2, 0xc822,
0xccc3, 0x014,
0xccc4, 0xc832,
0xccc5, 0x1186,
0xccc6, 0xc847,
0xccc7, 0x1e02,
0xccc8, 0xc013,
0xccc9, 0xf341,
0xccca, 0xc01a,
0xcccb, 0x446,
0xcccc, 0xc024,
0xcccd, 0x1000,
0xccce, 0xc025,
0xcccf, 0xa00,
0xccd0, 0xc026,
0xccd1, 0xc0c,
0xccd2, 0xc027,
0xccd3, 0xc0c,
0xccd4, 0xc029,
0xccd5, 0x0a0,
0xccd6, 0xc030,
0xccd7, 0xa00,
0xccd8, 0xc03c,
0xccd9, 0x01c,
0xccda, 0xc005,
0xccdb, 0x7a06,
0xccdc, 0x000,
0xccdd, 0x2731,
0xccde, 0x3011,
0xccdf, 0x1001,
0xcce0, 0xc620,
0xcce1, 0x000,
0xcce2, 0xc621,
0xcce3, 0x03f,
0xcce4, 0xc622,
0xcce5, 0x000,
0xcce6, 0xc623,
0xcce7, 0x000,
0xcce8, 0xc624,
0xcce9, 0x000,
0xccea, 0xc625,
0xcceb, 0x000,
0xccec, 0xc627,
0xcced, 0x000,
0xccee, 0xc628,
0xccef, 0x000,
0xccf0, 0xc62c,
0xccf1, 0x000,
0xccf2, 0x000,
0xccf3, 0x2806,
0xccf4, 0x3cb6,
0xccf5, 0xc161,
0xccf6, 0x6134,
0xccf7, 0x6135,
0xccf8, 0x5443,
0xccf9, 0x303,
0xccfa, 0x6524,
0xccfb, 0x00b,
0xccfc, 0x1002,
0xccfd, 0x2104,
0xccfe, 0x3c24,
0xccff, 0x2105,
0xcd00, 0x3805,
0xcd01, 0x6524,
0xcd02, 0xdff4,
0xcd03, 0x4005,
0xcd04, 0x6524,
0xcd05, 0x1002,
0xcd06, 0x5dd3,
0xcd07, 0x306,
0xcd08, 0x2ff7,
0xcd09, 0x38f7,
0xcd0a, 0x60b7,
0xcd0b, 0xdffd,
0xcd0c, 0x00a,
0xcd0d, 0x1002,
0xcd0e, 0
};
int i, err;
err = set_phy_regs(phy, regs);
......@@ -579,9 +307,16 @@ static int ael2005_setup_sr_edc(struct cphy *phy)
msleep(50);
for (i = 0; i < ARRAY_SIZE(sr_edc) && !err; i += 2)
err = t3_mdio_write(phy, MDIO_MMD_PMAPMD, sr_edc[i],
sr_edc[i + 1]);
if (phy->priv != edc_sr)
err = t3_get_edc_fw(phy, EDC_OPT_AEL2005,
EDC_OPT_AEL2005_SIZE);
if (err)
return err;
for (i = 0; i < EDC_OPT_AEL2005_SIZE / sizeof(u16) && !err; i += 2)
err = t3_mdio_write(phy, MDIO_MMD_PMAPMD,
phy->phy_cache[i],
phy->phy_cache[i + 1]);
if (!err)
phy->priv = edc_sr;
return err;
......@@ -598,374 +333,6 @@ static int ael2005_setup_twinax_edc(struct cphy *phy, int modtype)
{ MDIO_MMD_PMAPMD, 0xc015, 0xffff, 0xa000 },
{ 0, 0, 0, 0 }
};
static u16 twinax_edc[] = {
0xcc00, 0x4009,
0xcc01, 0x27ff,
0xcc02, 0x300f,
0xcc03, 0x40aa,
0xcc04, 0x401c,
0xcc05, 0x401e,
0xcc06, 0x2ff4,
0xcc07, 0x3cd4,
0xcc08, 0x2035,
0xcc09, 0x3145,
0xcc0a, 0x6524,
0xcc0b, 0x26a2,
0xcc0c, 0x3012,
0xcc0d, 0x1002,
0xcc0e, 0x29c2,
0xcc0f, 0x3002,
0xcc10, 0x1002,
0xcc11, 0x2072,
0xcc12, 0x3012,
0xcc13, 0x1002,
0xcc14, 0x22cd,
0xcc15, 0x301d,
0xcc16, 0x2e52,
0xcc17, 0x3012,
0xcc18, 0x1002,
0xcc19, 0x28e2,
0xcc1a, 0x3002,
0xcc1b, 0x1002,
0xcc1c, 0x628f,
0xcc1d, 0x2ac2,
0xcc1e, 0x3012,
0xcc1f, 0x1002,
0xcc20, 0x5553,
0xcc21, 0x2ae2,
0xcc22, 0x3002,
0xcc23, 0x1302,
0xcc24, 0x401e,
0xcc25, 0x2be2,
0xcc26, 0x3012,
0xcc27, 0x1002,
0xcc28, 0x2da2,
0xcc29, 0x3012,
0xcc2a, 0x1002,
0xcc2b, 0x2ba2,
0xcc2c, 0x3002,
0xcc2d, 0x1002,
0xcc2e, 0x5ee3,
0xcc2f, 0x305,
0xcc30, 0x400e,
0xcc31, 0x2bc2,
0xcc32, 0x3002,
0xcc33, 0x1002,
0xcc34, 0x2b82,
0xcc35, 0x3012,
0xcc36, 0x1002,
0xcc37, 0x5663,
0xcc38, 0x302,
0xcc39, 0x401e,
0xcc3a, 0x6f72,
0xcc3b, 0x1002,
0xcc3c, 0x628f,
0xcc3d, 0x2be2,
0xcc3e, 0x3012,
0xcc3f, 0x1002,
0xcc40, 0x22cd,
0xcc41, 0x301d,
0xcc42, 0x2e52,
0xcc43, 0x3012,
0xcc44, 0x1002,
0xcc45, 0x2522,
0xcc46, 0x3012,
0xcc47, 0x1002,
0xcc48, 0x2da2,
0xcc49, 0x3012,
0xcc4a, 0x1002,
0xcc4b, 0x2ca2,
0xcc4c, 0x3012,
0xcc4d, 0x1002,
0xcc4e, 0x2fa4,
0xcc4f, 0x3cd4,
0xcc50, 0x6624,
0xcc51, 0x410b,
0xcc52, 0x56b3,
0xcc53, 0x3c4,
0xcc54, 0x2fb2,
0xcc55, 0x3002,
0xcc56, 0x1002,
0xcc57, 0x220b,
0xcc58, 0x303b,
0xcc59, 0x56b3,
0xcc5a, 0x3c3,
0xcc5b, 0x866b,
0xcc5c, 0x400c,
0xcc5d, 0x23a2,
0xcc5e, 0x3012,
0xcc5f, 0x1002,
0xcc60, 0x2da2,
0xcc61, 0x3012,
0xcc62, 0x1002,
0xcc63, 0x2ca2,
0xcc64, 0x3012,
0xcc65, 0x1002,
0xcc66, 0x2fb4,
0xcc67, 0x3cd4,
0xcc68, 0x6624,
0xcc69, 0x56b3,
0xcc6a, 0x3c3,
0xcc6b, 0x866b,
0xcc6c, 0x401c,
0xcc6d, 0x2205,
0xcc6e, 0x3035,
0xcc6f, 0x5b53,
0xcc70, 0x2c52,
0xcc71, 0x3002,
0xcc72, 0x13c2,
0xcc73, 0x5cc3,
0xcc74, 0x317,
0xcc75, 0x2522,
0xcc76, 0x3012,
0xcc77, 0x1002,
0xcc78, 0x2da2,
0xcc79, 0x3012,
0xcc7a, 0x1002,
0xcc7b, 0x2b82,
0xcc7c, 0x3012,
0xcc7d, 0x1002,
0xcc7e, 0x5663,
0xcc7f, 0x303,
0xcc80, 0x401e,
0xcc81, 0x004,
0xcc82, 0x2c42,
0xcc83, 0x3012,
0xcc84, 0x1002,
0xcc85, 0x6f72,
0xcc86, 0x1002,
0xcc87, 0x628f,
0xcc88, 0x2304,
0xcc89, 0x3c84,
0xcc8a, 0x6436,
0xcc8b, 0xdff4,
0xcc8c, 0x6436,
0xcc8d, 0x2ff5,
0xcc8e, 0x3005,
0xcc8f, 0x8656,
0xcc90, 0xdfba,
0xcc91, 0x56a3,
0xcc92, 0xd05a,
0xcc93, 0x21c2,
0xcc94, 0x3012,
0xcc95, 0x1392,
0xcc96, 0xd05a,
0xcc97, 0x56a3,
0xcc98, 0xdfba,
0xcc99, 0x383,
0xcc9a, 0x6f72,
0xcc9b, 0x1002,
0xcc9c, 0x28c5,
0xcc9d, 0x3005,
0xcc9e, 0x4178,
0xcc9f, 0x5653,
0xcca0, 0x384,
0xcca1, 0x22b2,
0xcca2, 0x3012,
0xcca3, 0x1002,
0xcca4, 0x2be5,
0xcca5, 0x3005,
0xcca6, 0x41e8,
0xcca7, 0x5653,
0xcca8, 0x382,
0xcca9, 0x002,
0xccaa, 0x4258,
0xccab, 0x2474,
0xccac, 0x3c84,
0xccad, 0x6437,
0xccae, 0xdff4,
0xccaf, 0x6437,
0xccb0, 0x2ff5,
0xccb1, 0x3c05,
0xccb2, 0x8757,
0xccb3, 0xb888,
0xccb4, 0x9787,
0xccb5, 0xdff4,
0xccb6, 0x6724,
0xccb7, 0x866a,
0xccb8, 0x6f72,
0xccb9, 0x1002,
0xccba, 0x2d01,
0xccbb, 0x3011,
0xccbc, 0x1001,
0xccbd, 0xc620,
0xccbe, 0x14e5,
0xccbf, 0xc621,
0xccc0, 0xc53d,
0xccc1, 0xc622,
0xccc2, 0x3cbe,
0xccc3, 0xc623,
0xccc4, 0x4452,
0xccc5, 0xc624,
0xccc6, 0xc5c5,
0xccc7, 0xc625,
0xccc8, 0xe01e,
0xccc9, 0xc627,
0xccca, 0x000,
0xcccb, 0xc628,
0xcccc, 0x000,
0xcccd, 0xc62b,
0xccce, 0x000,
0xcccf, 0xc62c,
0xccd0, 0x000,
0xccd1, 0x000,
0xccd2, 0x2d01,
0xccd3, 0x3011,
0xccd4, 0x1001,
0xccd5, 0xc620,
0xccd6, 0x000,
0xccd7, 0xc621,
0xccd8, 0x000,
0xccd9, 0xc622,
0xccda, 0x0ce,
0xccdb, 0xc623,
0xccdc, 0x07f,
0xccdd, 0xc624,
0xccde, 0x032,
0xccdf, 0xc625,
0xcce0, 0x000,
0xcce1, 0xc627,
0xcce2, 0x000,
0xcce3, 0xc628,
0xcce4, 0x000,
0xcce5, 0xc62b,
0xcce6, 0x000,
0xcce7, 0xc62c,
0xcce8, 0x000,
0xcce9, 0x000,
0xccea, 0x2d01,
0xcceb, 0x3011,
0xccec, 0x1001,
0xcced, 0xc502,
0xccee, 0x609f,
0xccef, 0xc600,
0xccf0, 0x2a6e,
0xccf1, 0xc601,
0xccf2, 0x2a2c,
0xccf3, 0xc60c,
0xccf4, 0x5400,
0xccf5, 0xc710,
0xccf6, 0x700,
0xccf7, 0xc718,
0xccf8, 0x700,
0xccf9, 0xc720,
0xccfa, 0x4700,
0xccfb, 0xc728,
0xccfc, 0x700,
0xccfd, 0xc729,
0xccfe, 0x1207,
0xccff, 0xc801,
0xcd00, 0x7f50,
0xcd01, 0xc802,
0xcd02, 0x7760,
0xcd03, 0xc803,
0xcd04, 0x7fce,
0xcd05, 0xc804,
0xcd06, 0x520e,
0xcd07, 0xc805,
0xcd08, 0x5c11,
0xcd09, 0xc806,
0xcd0a, 0x3c51,
0xcd0b, 0xc807,
0xcd0c, 0x4061,
0xcd0d, 0xc808,
0xcd0e, 0x49c1,
0xcd0f, 0xc809,
0xcd10, 0x3840,
0xcd11, 0xc80a,
0xcd12, 0x000,
0xcd13, 0xc821,
0xcd14, 0x002,
0xcd15, 0xc822,
0xcd16, 0x046,
0xcd17, 0xc844,
0xcd18, 0x182f,
0xcd19, 0xc013,
0xcd1a, 0xf341,
0xcd1b, 0xc01a,
0xcd1c, 0x446,
0xcd1d, 0xc024,
0xcd1e, 0x1000,
0xcd1f, 0xc025,
0xcd20, 0xa00,
0xcd21, 0xc026,
0xcd22, 0xc0c,
0xcd23, 0xc027,
0xcd24, 0xc0c,
0xcd25, 0xc029,
0xcd26, 0x0a0,
0xcd27, 0xc030,
0xcd28, 0xa00,
0xcd29, 0xc03c,
0xcd2a, 0x01c,
0xcd2b, 0x000,
0xcd2c, 0x2b84,
0xcd2d, 0x3c74,
0xcd2e, 0x6435,
0xcd2f, 0xdff4,
0xcd30, 0x6435,
0xcd31, 0x2806,
0xcd32, 0x3006,
0xcd33, 0x8565,
0xcd34, 0x2b24,
0xcd35, 0x3c24,
0xcd36, 0x6436,
0xcd37, 0x1002,
0xcd38, 0x2b24,
0xcd39, 0x3c24,
0xcd3a, 0x6436,
0xcd3b, 0x4045,
0xcd3c, 0x8656,
0xcd3d, 0x1002,
0xcd3e, 0x2807,
0xcd3f, 0x31a7,
0xcd40, 0x20c4,
0xcd41, 0x3c24,
0xcd42, 0x6724,
0xcd43, 0x1002,
0xcd44, 0x2807,
0xcd45, 0x3187,
0xcd46, 0x20c4,
0xcd47, 0x3c24,
0xcd48, 0x6724,
0xcd49, 0x1002,
0xcd4a, 0x2514,
0xcd4b, 0x3c64,
0xcd4c, 0x6436,
0xcd4d, 0xdff4,
0xcd4e, 0x6436,
0xcd4f, 0x1002,
0xcd50, 0x2806,
0xcd51, 0x3cb6,
0xcd52, 0xc161,
0xcd53, 0x6134,
0xcd54, 0x6135,
0xcd55, 0x5443,
0xcd56, 0x303,
0xcd57, 0x6524,
0xcd58, 0x00b,
0xcd59, 0x1002,
0xcd5a, 0xd019,
0xcd5b, 0x2104,
0xcd5c, 0x3c24,
0xcd5d, 0x2105,
0xcd5e, 0x3805,
0xcd5f, 0x6524,
0xcd60, 0xdff4,
0xcd61, 0x4005,
0xcd62, 0x6524,
0xcd63, 0x2e8d,
0xcd64, 0x303d,
0xcd65, 0x5dd3,
0xcd66, 0x306,
0xcd67, 0x2ff7,
0xcd68, 0x38f7,
0xcd69, 0x60b7,
0xcd6a, 0xdffd,
0xcd6b, 0x00a,
0xcd6c, 0x1002,
0xcd6d, 0
};
int i, err;
err = set_phy_regs(phy, regs);
......@@ -976,9 +343,16 @@ static int ael2005_setup_twinax_edc(struct cphy *phy, int modtype)
msleep(50);
for (i = 0; i < ARRAY_SIZE(twinax_edc) && !err; i += 2)
err = t3_mdio_write(phy, MDIO_MMD_PMAPMD, twinax_edc[i],
twinax_edc[i + 1]);
if (phy->priv != edc_twinax)
err = t3_get_edc_fw(phy, EDC_TWX_AEL2005,
EDC_TWX_AEL2005_SIZE);
if (err)
return err;
for (i = 0; i < EDC_TWX_AEL2005_SIZE / sizeof(u16) && !err; i += 2)
err = t3_mdio_write(phy, MDIO_MMD_PMAPMD,
phy->phy_cache[i],
phy->phy_cache[i + 1]);
if (!err)
phy->priv = edc_twinax;
return err;
......@@ -1195,405 +569,6 @@ static int ael2020_setup_twinax_edc(struct cphy *phy, int modtype)
{ MDIO_MMD_PMAPMD, 0xd092, 0xffff, 0x0000 },
{ 0, 0, 0, 0 }
};
/* TWINAX EDC firmware */
static u16 twinax_edc[] = {
0xd800, 0x4009,
0xd801, 0x2fff,
0xd802, 0x300f,
0xd803, 0x40aa,
0xd804, 0x401c,
0xd805, 0x401e,
0xd806, 0x2ff4,
0xd807, 0x3dc4,
0xd808, 0x2035,
0xd809, 0x3035,
0xd80a, 0x6524,
0xd80b, 0x2cb2,
0xd80c, 0x3012,
0xd80d, 0x1002,
0xd80e, 0x26e2,
0xd80f, 0x3022,
0xd810, 0x1002,
0xd811, 0x27d2,
0xd812, 0x3022,
0xd813, 0x1002,
0xd814, 0x2822,
0xd815, 0x3012,
0xd816, 0x1002,
0xd817, 0x2492,
0xd818, 0x3022,
0xd819, 0x1002,
0xd81a, 0x2772,
0xd81b, 0x3012,
0xd81c, 0x1002,
0xd81d, 0x23d2,
0xd81e, 0x3022,
0xd81f, 0x1002,
0xd820, 0x22cd,
0xd821, 0x301d,
0xd822, 0x27f2,
0xd823, 0x3022,
0xd824, 0x1002,
0xd825, 0x5553,
0xd826, 0x0307,
0xd827, 0x2522,
0xd828, 0x3022,
0xd829, 0x1002,
0xd82a, 0x2142,
0xd82b, 0x3012,
0xd82c, 0x1002,
0xd82d, 0x4016,
0xd82e, 0x5e63,
0xd82f, 0x0344,
0xd830, 0x2142,
0xd831, 0x3012,
0xd832, 0x1002,
0xd833, 0x400e,
0xd834, 0x2522,
0xd835, 0x3022,
0xd836, 0x1002,
0xd837, 0x2b52,
0xd838, 0x3012,
0xd839, 0x1002,
0xd83a, 0x2742,
0xd83b, 0x3022,
0xd83c, 0x1002,
0xd83d, 0x25e2,
0xd83e, 0x3022,
0xd83f, 0x1002,
0xd840, 0x2fa4,
0xd841, 0x3dc4,
0xd842, 0x6624,
0xd843, 0x414b,
0xd844, 0x56b3,
0xd845, 0x03c6,
0xd846, 0x866b,
0xd847, 0x400c,
0xd848, 0x2712,
0xd849, 0x3012,
0xd84a, 0x1002,
0xd84b, 0x2c4b,
0xd84c, 0x309b,
0xd84d, 0x56b3,
0xd84e, 0x03c3,
0xd84f, 0x866b,
0xd850, 0x400c,
0xd851, 0x2272,
0xd852, 0x3022,
0xd853, 0x1002,
0xd854, 0x2742,
0xd855, 0x3022,
0xd856, 0x1002,
0xd857, 0x25e2,
0xd858, 0x3022,
0xd859, 0x1002,
0xd85a, 0x2fb4,
0xd85b, 0x3dc4,
0xd85c, 0x6624,
0xd85d, 0x56b3,
0xd85e, 0x03c3,
0xd85f, 0x866b,
0xd860, 0x401c,
0xd861, 0x2c45,
0xd862, 0x3095,
0xd863, 0x5b53,
0xd864, 0x2372,
0xd865, 0x3012,
0xd866, 0x13c2,
0xd867, 0x5cc3,
0xd868, 0x2712,
0xd869, 0x3012,
0xd86a, 0x1312,
0xd86b, 0x2b52,
0xd86c, 0x3012,
0xd86d, 0x1002,
0xd86e, 0x2742,
0xd86f, 0x3022,
0xd870, 0x1002,
0xd871, 0x2582,
0xd872, 0x3022,
0xd873, 0x1002,
0xd874, 0x2142,
0xd875, 0x3012,
0xd876, 0x1002,
0xd877, 0x628f,
0xd878, 0x2985,
0xd879, 0x33a5,
0xd87a, 0x25e2,
0xd87b, 0x3022,
0xd87c, 0x1002,
0xd87d, 0x5653,
0xd87e, 0x03d2,
0xd87f, 0x401e,
0xd880, 0x6f72,
0xd881, 0x1002,
0xd882, 0x628f,
0xd883, 0x2304,
0xd884, 0x3c84,
0xd885, 0x6436,
0xd886, 0xdff4,
0xd887, 0x6436,
0xd888, 0x2ff5,
0xd889, 0x3005,
0xd88a, 0x8656,
0xd88b, 0xdfba,
0xd88c, 0x56a3,
0xd88d, 0xd05a,
0xd88e, 0x2972,
0xd88f, 0x3012,
0xd890, 0x1392,
0xd891, 0xd05a,
0xd892, 0x56a3,
0xd893, 0xdfba,
0xd894, 0x0383,
0xd895, 0x6f72,
0xd896, 0x1002,
0xd897, 0x2b45,
0xd898, 0x3005,
0xd899, 0x4178,
0xd89a, 0x5653,
0xd89b, 0x0384,
0xd89c, 0x2a62,
0xd89d, 0x3012,
0xd89e, 0x1002,
0xd89f, 0x2f05,
0xd8a0, 0x3005,
0xd8a1, 0x41c8,
0xd8a2, 0x5653,
0xd8a3, 0x0382,
0xd8a4, 0x0002,
0xd8a5, 0x4218,
0xd8a6, 0x2474,
0xd8a7, 0x3c84,
0xd8a8, 0x6437,
0xd8a9, 0xdff4,
0xd8aa, 0x6437,
0xd8ab, 0x2ff5,
0xd8ac, 0x3c05,
0xd8ad, 0x8757,
0xd8ae, 0xb888,
0xd8af, 0x9787,
0xd8b0, 0xdff4,
0xd8b1, 0x6724,
0xd8b2, 0x866a,
0xd8b3, 0x6f72,
0xd8b4, 0x1002,
0xd8b5, 0x2641,
0xd8b6, 0x3021,
0xd8b7, 0x1001,
0xd8b8, 0xc620,
0xd8b9, 0x0000,
0xd8ba, 0xc621,
0xd8bb, 0x0000,
0xd8bc, 0xc622,
0xd8bd, 0x00ce,
0xd8be, 0xc623,
0xd8bf, 0x007f,
0xd8c0, 0xc624,
0xd8c1, 0x0032,
0xd8c2, 0xc625,
0xd8c3, 0x0000,
0xd8c4, 0xc627,
0xd8c5, 0x0000,
0xd8c6, 0xc628,
0xd8c7, 0x0000,
0xd8c8, 0xc62c,
0xd8c9, 0x0000,
0xd8ca, 0x0000,
0xd8cb, 0x2641,
0xd8cc, 0x3021,
0xd8cd, 0x1001,
0xd8ce, 0xc502,
0xd8cf, 0x53ac,
0xd8d0, 0xc503,
0xd8d1, 0x2cd3,
0xd8d2, 0xc600,
0xd8d3, 0x2a6e,
0xd8d4, 0xc601,
0xd8d5, 0x2a2c,
0xd8d6, 0xc605,
0xd8d7, 0x5557,
0xd8d8, 0xc60c,
0xd8d9, 0x5400,
0xd8da, 0xc710,
0xd8db, 0x0700,
0xd8dc, 0xc711,
0xd8dd, 0x0f06,
0xd8de, 0xc718,
0xd8df, 0x0700,
0xd8e0, 0xc719,
0xd8e1, 0x0f06,
0xd8e2, 0xc720,
0xd8e3, 0x4700,
0xd8e4, 0xc721,
0xd8e5, 0x0f06,
0xd8e6, 0xc728,
0xd8e7, 0x0700,
0xd8e8, 0xc729,
0xd8e9, 0x1207,
0xd8ea, 0xc801,
0xd8eb, 0x7f50,
0xd8ec, 0xc802,
0xd8ed, 0x7760,
0xd8ee, 0xc803,
0xd8ef, 0x7fce,
0xd8f0, 0xc804,
0xd8f1, 0x520e,
0xd8f2, 0xc805,
0xd8f3, 0x5c11,
0xd8f4, 0xc806,
0xd8f5, 0x3c51,
0xd8f6, 0xc807,
0xd8f7, 0x4061,
0xd8f8, 0xc808,
0xd8f9, 0x49c1,
0xd8fa, 0xc809,
0xd8fb, 0x3840,
0xd8fc, 0xc80a,
0xd8fd, 0x0000,
0xd8fe, 0xc821,
0xd8ff, 0x0002,
0xd900, 0xc822,
0xd901, 0x0046,
0xd902, 0xc844,
0xd903, 0x182f,
0xd904, 0xc013,
0xd905, 0xf341,
0xd906, 0xc084,
0xd907, 0x0030,
0xd908, 0xc904,
0xd909, 0x1401,
0xd90a, 0xcb0c,
0xd90b, 0x0004,
0xd90c, 0xcb0e,
0xd90d, 0xa00a,
0xd90e, 0xcb0f,
0xd90f, 0xc0c0,
0xd910, 0xcb10,
0xd911, 0xc0c0,
0xd912, 0xcb11,
0xd913, 0x00a0,
0xd914, 0xcb12,
0xd915, 0x0007,
0xd916, 0xc241,
0xd917, 0xa000,
0xd918, 0xc243,
0xd919, 0x7fe0,
0xd91a, 0xc604,
0xd91b, 0x000e,
0xd91c, 0xc609,
0xd91d, 0x00f5,
0xd91e, 0xc611,
0xd91f, 0x000e,
0xd920, 0xc660,
0xd921, 0x9600,
0xd922, 0xc687,
0xd923, 0x0004,
0xd924, 0xc60a,
0xd925, 0x04f5,
0xd926, 0x0000,
0xd927, 0x2641,
0xd928, 0x3021,
0xd929, 0x1001,
0xd92a, 0xc620,
0xd92b, 0x14e5,
0xd92c, 0xc621,
0xd92d, 0xc53d,
0xd92e, 0xc622,
0xd92f, 0x3cbe,
0xd930, 0xc623,
0xd931, 0x4452,
0xd932, 0xc624,
0xd933, 0xc5c5,
0xd934, 0xc625,
0xd935, 0xe01e,
0xd936, 0xc627,
0xd937, 0x0000,
0xd938, 0xc628,
0xd939, 0x0000,
0xd93a, 0xc62c,
0xd93b, 0x0000,
0xd93c, 0x0000,
0xd93d, 0x2b84,
0xd93e, 0x3c74,
0xd93f, 0x6435,
0xd940, 0xdff4,
0xd941, 0x6435,
0xd942, 0x2806,
0xd943, 0x3006,
0xd944, 0x8565,
0xd945, 0x2b24,
0xd946, 0x3c24,
0xd947, 0x6436,
0xd948, 0x1002,
0xd949, 0x2b24,
0xd94a, 0x3c24,
0xd94b, 0x6436,
0xd94c, 0x4045,
0xd94d, 0x8656,
0xd94e, 0x5663,
0xd94f, 0x0302,
0xd950, 0x401e,
0xd951, 0x1002,
0xd952, 0x2807,
0xd953, 0x31a7,
0xd954, 0x20c4,
0xd955, 0x3c24,
0xd956, 0x6724,
0xd957, 0x1002,
0xd958, 0x2807,
0xd959, 0x3187,
0xd95a, 0x20c4,
0xd95b, 0x3c24,
0xd95c, 0x6724,
0xd95d, 0x1002,
0xd95e, 0x24f4,
0xd95f, 0x3c64,
0xd960, 0x6436,
0xd961, 0xdff4,
0xd962, 0x6436,
0xd963, 0x1002,
0xd964, 0x2006,
0xd965, 0x3d76,
0xd966, 0xc161,
0xd967, 0x6134,
0xd968, 0x6135,
0xd969, 0x5443,
0xd96a, 0x0303,
0xd96b, 0x6524,
0xd96c, 0x00fb,
0xd96d, 0x1002,
0xd96e, 0x20d4,
0xd96f, 0x3c24,
0xd970, 0x2025,
0xd971, 0x3005,
0xd972, 0x6524,
0xd973, 0x1002,
0xd974, 0xd019,
0xd975, 0x2104,
0xd976, 0x3c24,
0xd977, 0x2105,
0xd978, 0x3805,
0xd979, 0x6524,
0xd97a, 0xdff4,
0xd97b, 0x4005,
0xd97c, 0x6524,
0xd97d, 0x2e8d,
0xd97e, 0x303d,
0xd97f, 0x2408,
0xd980, 0x35d8,
0xd981, 0x5dd3,
0xd982, 0x0307,
0xd983, 0x8887,
0xd984, 0x63a7,
0xd985, 0x8887,
0xd986, 0x63a7,
0xd987, 0xdffd,
0xd988, 0x00f9,
0xd989, 0x1002,
0xd98a, 0x0000,
};
int i, err;
/* set uC clock and activate it */
......@@ -1606,10 +581,16 @@ static int ael2020_setup_twinax_edc(struct cphy *phy, int modtype)
if (err)
return err;
/* write TWINAX EDC firmware into PHY */
for (i = 0; i < ARRAY_SIZE(twinax_edc) && !err; i += 2)
err = t3_mdio_write(phy, MDIO_MMD_PMAPMD, twinax_edc[i],
twinax_edc[i + 1]);
if (phy->priv != edc_twinax)
err = t3_get_edc_fw(phy, EDC_TWX_AEL2020,
EDC_TWX_AEL2020_SIZE);
if (err)
return err;
for (i = 0; i < EDC_TWX_AEL2020_SIZE / sizeof(u16) && !err; i += 2)
err = t3_mdio_write(phy, MDIO_MMD_PMAPMD,
phy->phy_cache[i],
phy->phy_cache[i + 1]);
/* activate uC */
err = set_phy_regs(phy, uCactivate);
if (!err)
......
......@@ -566,6 +566,15 @@ struct cphy_ops {
u32 mmds;
};
enum {
EDC_OPT_AEL2005 = 0,
EDC_OPT_AEL2005_SIZE = 1084,
EDC_TWX_AEL2005 = 1,
EDC_TWX_AEL2005_SIZE = 1464,
EDC_TWX_AEL2020 = 2,
EDC_TWX_AEL2020_SIZE = 1628,
EDC_MAX_SIZE = EDC_TWX_AEL2020_SIZE, /* Max cache size */
};
/* A PHY instance */
struct cphy {
......@@ -577,6 +586,7 @@ struct cphy {
unsigned long fifo_errors; /* FIFO over/under-flows */
const struct cphy_ops *ops; /* PHY operations */
struct mdio_if_info mdio;
u16 phy_cache[EDC_MAX_SIZE]; /* EDC cache */
};
/* Convenience MDIO read/write wrappers */
......
......@@ -964,6 +964,75 @@ static int bind_qsets(struct adapter *adap)
#define FW_FNAME "cxgb3/t3fw-%d.%d.%d.bin"
#define TPSRAM_NAME "cxgb3/t3%c_psram-%d.%d.%d.bin"
#define AEL2005_OPT_EDC_NAME "cxgb3/ael2005_opt_edc.bin"
#define AEL2005_TWX_EDC_NAME "cxgb3/ael2005_twx_edc.bin"
#define AEL2020_TWX_EDC_NAME "cxgb3/ael2005_twx_edc.bin"
static inline const char *get_edc_fw_name(int edc_idx)
{
const char *fw_name = NULL;
switch (edc_idx) {
case EDC_OPT_AEL2005:
fw_name = AEL2005_OPT_EDC_NAME;
break;
case EDC_TWX_AEL2005:
fw_name = AEL2005_TWX_EDC_NAME;
break;
case EDC_TWX_AEL2020:
fw_name = AEL2020_TWX_EDC_NAME;
break;
}
return fw_name;
}
int t3_get_edc_fw(struct cphy *phy, int edc_idx, int size)
{
struct adapter *adapter = phy->adapter;
const struct firmware *fw;
char buf[64];
u32 csum;
const __be32 *p;
u16 *cache = phy->phy_cache;
int i, ret;
snprintf(buf, sizeof(buf), get_edc_fw_name(edc_idx));
ret = request_firmware(&fw, buf, &adapter->pdev->dev);
if (ret < 0) {
dev_err(&adapter->pdev->dev,
"could not upgrade firmware: unable to load %s\n",
buf);
return ret;
}
/* check size, take checksum in account */
if (fw->size > size + 4) {
CH_ERR(adapter, "firmware image too large %u, expected %d\n",
(unsigned int)fw->size, size + 4);
ret = -EINVAL;
}
/* compute checksum */
p = (const __be32 *)fw->data;
for (csum = 0, i = 0; i < fw->size / sizeof(csum); i++)
csum += ntohl(p[i]);
if (csum != 0xffffffff) {
CH_ERR(adapter, "corrupted firmware image, checksum %u\n",
csum);
ret = -EINVAL;
}
for (i = 0; i < size / 4 ; i++) {
*cache++ = (be32_to_cpu(p[i]) & 0xffff0000) >> 16;
*cache++ = be32_to_cpu(p[i]) & 0xffff;
}
release_firmware(fw);
return ret;
}
static int upgrade_fw(struct adapter *adap)
{
......
......@@ -41,7 +41,10 @@ fw-shipped-$(CONFIG_CASSINI) += sun/cassini.bin
fw-shipped-$(CONFIG_COMPUTONE) += intelliport2.bin
fw-shipped-$(CONFIG_CHELSIO_T3) += cxgb3/t3b_psram-1.1.0.bin \
cxgb3/t3c_psram-1.1.0.bin \
cxgb3/t3fw-7.4.0.bin
cxgb3/t3fw-7.4.0.bin \
cxgb3/ael2005_opt_edc.bin \
cxgb3/ael2005_twx_edc.bin \
cxgb3/ael2020_twx_edc.bin
fw-shipped-$(CONFIG_DVB_AV7110) += av7110/bootcode.bin
fw-shipped-$(CONFIG_DVB_TTUSB_BUDGET) += ttusb-budget/dspbootcode.bin
fw-shipped-$(CONFIG_E100) += e100/d101m_ucode.bin e100/d101s_ucode.bin \
......
......@@ -418,6 +418,23 @@ License: GPLv2 or OpenIB.org BSD license, no source visible
--------------------------------------------------------------------------
Driver: cxgb3 - Chelsio Terminator 3 1G/10G Ethernet adapter
File: cxgb3/ael2005_opt_edc.bin.ihex
File: cxgb3/ael2005_twx_edc.bin.ihex
File: cxgb3/ael2020_twx_edc.bin.ihex
Licence:
* Copyright (c) 2007-2009 NetLogic Microsystems, Inc.
*
* Permission is hereby granted for the distribution of this firmware
* data in hexadecimal or equivalent format, provided this copyright
* notice is accompanying it.
Found in hex form in kernel source.
--------------------------------------------------------------------------
Driver: e100 -- Intel PRO/100 Ethernet NIC
File: e100/d101m_ucode.bin
......
:10000000CC002FF4CC013CD4CC022015CC0331051C
:10001000CC046524CC0527FFCC06300FCC072C8BF5
:10002000CC08300BCC094009CC0A400ECC0B2F7207
:10003000CC0C3002CC0D1002CC0E2172CC0F301241
:10004000CC101002CC1125D2CC123012CC131002DD
:10005000CC14D01ECC1527D2CC163012CC171002DF
:10006000CC182004CC193C84CC1A6436CC1B200755
:10007000CC1C3F87CC1D8676CC1E40B7CC1FA74634
:10008000CC204047CC215673CC222982CC2330028D
:10009000CC2413D2CC258BBDCC262862CC273012A1
:1000A000CC281002CC292092CC2A3012CC2B100262
:1000B000CC2C5CC3CC2D0314CC2E2942CC2F300287
:1000C000CC301002CC31D019CC322032CC333012AB
:1000D000CC341002CC352A04CC363C74CC37643591
:1000E000CC382FA4CC393CD4CC3A6624CC3B5563D5
:1000F000CC3C2D42CC3D3002CC3E13D2CC3F464DC1
:10010000CC402862CC413012CC421002CC43203289
:10011000CC443012CC451002CC462FB4CC473CD452
:10012000CC486624CC495563CC4A2D42CC4B300296
:10013000CC4C13D2CC4D2ED2CC4E3002CC4F100230
:10014000CC502FD2CC513002CC521002CC530004F0
:10015000CC542942CC553002CC561002CC572092B8
:10016000CC583012CC591002CC5A5CC3CC5B03176C
:10017000CC5C2F72CC5D3002CC5E1002CC5F294289
:10018000CC603002CC611002CC6222CDCC63301D39
:10019000CC642862CC653012CC661002CC672ED2BB
:1001A000CC683002CC691002CC6A2D72CC6B300264
:1001B000CC6C1002CC6D628FCC6E2112CC6F3012E1
:1001C000CC701002CC715AA3CC722DC2CC73300209
:1001D000CC741312CC756F72CC761002CC772807D2
:1001E000CC7831A7CC7920C4CC7A3C24CC7B672452
:1001F000CC7C1002CC7D2807CC7E3187CC7F20C4FC
:10020000CC803C24CC816724CC821002CC83251482
:10021000CC843C64CC856436CC86DFF4CC876436F1
:10022000CC881002CC8940A4CC8A643CCC8B40168C
:10023000CC8C8C6CCC8D2B24CC8E3C24CC8F643518
:10024000CC901002CC912B24CC923C24CC93643AD9
:10025000CC944025CC958A5ACC961002CC97273165
:10026000CC983011CC991001CC9AC7A0CC9B01003E
:10027000CC9CC502CC9D53ACCC9EC503CC9FD5D5A0
:10028000CCA0C600CCA12A6DCCA2C601CCA32A4C1E
:10029000CCA4C602CCA50111CCA6C60CCCA7590093
:1002A000CCA8C710CCA90700CCAAC718CCAB0700B4
:1002B000CCACC720CCAD4700CCAEC801CCAF7F5092
:1002C000CCB0C802CCB17760CCB2C803CCB37FCE7F
:1002D000CCB4C804CCB55700CCB6C805CCB75F11B8
:1002E000CCB8C806CCB94751CCBAC807CCBB57E18B
:1002F000CCBCC808CCBD2700CCBEC809CCBF000010
:10030000CCC0C821CCC10002CCC2C822CCC30014CE
:10031000CCC4C832CCC51186CCC6C847CCC71E02D7
:10032000CCC8C013CCC9F341CCCAC01ACCCB04464C
:10033000CCCCC024CCCD1000CCCEC025CCCF0A0074
:10034000CCD0C026CCD10C0CCCD2C027CCD30C0C3A
:10035000CCD4C029CCD500A0CCD6C030CCD70A0094
:10036000CCD8C03CCCD9001CCCDAC005CCDB7A069A
:10037000CCDC0000CCDD2731CCDE3011CCDF10012D
:10038000CCE0C620CCE10000CCE2C621CCE3003FAB
:10039000CCE4C622CCE50000CCE6C623CCE70000C6
:1003A000CCE8C624CCE90000CCEAC625CCEB0000A2
:1003B000CCECC627CCED0000CCEEC628CCEF00007C
:1003C000CCF0C62CCCF10000CCF20000CCF3280617
:1003D000CCF43CB6CCF5C161CCF66134CCF76135D8
:1003E000CCF85443CCF90303CCFA6524CCFB000BC6
:1003F000CCFC1002CCFD2104CCFE3C24CCFF21051A
:10040000CD003805CD016524CD02DFF4CD034005D4
:10041000CD046524CD051002CD065DD3CD070306BE
:10042000CD082FF7CD0938F7CD0A60B7CD0BDFFD2A
:10043000CD0C000ACD0D1002CD0E00007CC7AE59C8
:00000001FF
:10000000CC004009CC0127FFCC02300FCC0340AA22
:10001000CC04401CCC05401ECC062FF4CC073CD4AD
:10002000CC082035CC093145CC0A6524CC0B26A25E
:10003000CC0C3012CC0D1002CC0E29C2CC0F3002E9
:10004000CC101002CC112072CC123012CC13100242
:10005000CC1422CDCC15301DCC162E52CC1730121C
:10006000CC181002CC1928E2CC1A3002CC1B10029A
:10007000CC1C628FCC1D2AC2CC1E3012CC1F1002A9
:10008000CC205553CC212AE2CC223002CC231302BF
:10009000CC24401ECC252BE2CC263012CC271002DB
:1000A000CC282DA2CC293012CC2A1002CC2B2BA28A
:1000B000CC2C3002CC2D1002CC2E5EE3CC2F0305CD
:1000C000CC30400ECC312BC2CC323002CC331002BB
:1000D000CC342B82CC353012CC361002CC37566360
:1000E000CC380302CC39401ECC3A6F72CC3B1002A4
:1000F000CC3C628FCC3D2BE2CC3E3012CC3F100288
:10010000CC4022CDCC41301DCC422E52CC433012BB
:10011000CC441002CC452522CC463012CC471002EC
:10012000CC482DA2CC493012CC4A1002CC4B2CA288
:10013000CC4C3012CC4D1002CC4E2FA4CC4F3CD422
:10014000CC506624CC51410BCC5256B3CC5303C493
:10015000CC542FB2CC553002CC561002CC57220BC7
:10016000CC58303BCC5956B3CC5A03C3CC5B866BCE
:10017000CC5C400CCC5D23A2CC5E3012CC5F100274
:10018000CC602DA2CC613012CC621002CC632CA2C8
:10019000CC643012CC651002CC662FB4CC673CD452
:1001A000CC686624CC6956B3CC6A03C3CC6B866B2F
:1001B000CC6C401CCC6D2205CC6E3035CC6F5B53C3
:1001C000CC702C52CC713002CC7213C2CC735CC395
:1001D000CC740317CC752522CC763012CC77100264
:1001E000CC782DA2CC793012CC7A1002CC7B2B8229
:1001F000CC7C3012CC7D1002CC7E5663CC7F0303C6
:10020000CC80401ECC810004CC822C42CC833012A6
:10021000CC841002CC856F72CC861002CC87628FA2
:10022000CC882304CC893C84CC8A6436CC8BDFF424
:10023000CC8C6436CC8D2FF5CC8E3005CC8F865689
:10024000CC90DFBACC9156A3CC92D05ACC9321C299
:10025000CC943012CC951392CC96D05ACC9756A30E
:10026000CC98DFBACC990383CC9A6F72CC9B1002E6
:10027000CC9C28C5CC9D3005CC9E4178CC9F565354
:10028000CCA00384CCA122B2CCA23012CCA3100209
:10029000CCA42BE5CCA53005CCA641E8CCA7565381
:1002A000CCA80382CCA90002CCAA4258CCAB2474BF
:1002B000CCAC3C84CCAD6437CCAEDFF4CCAF64378F
:1002C000CCB02FF5CCB13C05CCB28757CCB3B888B5
:1002D000CCB49787CCB5DFF4CCB66724CCB7866AAC
:1002E000CCB86F72CCB91002CCBA2D01CCBB301196
:1002F000CCBC1001CCBDC620CCBE14E5CCBFC62101
:10030000CCC0C53DCCC1C622CCC23CBECCC3C623EA
:10031000CCC44452CCC5C624CCC6C5C5CCC7C625A2
:10032000CCC8E01ECCC9C627CCCA0000CCCBC6289E
:10033000CCCC0000CCCDC62BCCCE0000CCCFC62C74
:10034000CCD00000CCD10000CCD22D01CCD33011C8
:10035000CCD41001CCD5C620CCD60000CCD7C62139
:10036000CCD80000CCD9C622CCDA00CECCDBC62358
:10037000CCDC007FCCDDC624CCDE0032CCDFC62551
:10038000CCE00000CCE1C627CCE20000CCE3C628DC
:10039000CCE40000CCE5C62BCCE60000CCE7C62CB4
:1003A000CCE80000CCE90000CCEA2D01CCEB301108
:1003B000CCEC1001CCEDC502CCEE609FCCEFC600BA
:1003C000CCF02A6ECCF1C601CCF22A2CCCF3C60CB0
:1003D000CCF45400CCF5C710CCF60700CCF7C71806
:1003E000CCF80700CCF9C720CCFA4700CCFBC728D3
:1003F000CCFC0700CCFDC729CCFE1207CCFFC801FE
:10040000CD007F50CD01C802CD027760CD03C80377
:10041000CD047FCECD05C804CD06520ECD07C8054C
:10042000CD085C11CD09C806CD0A3C51CD0BC807DB
:10043000CD0C4061CD0DC808CD0E49C1CD0FC80906
:10044000CD103840CD11C80ACD120000CD13C821FF
:10045000CD140002CD15C822CD160046CD17C844D4
:10046000CD18182FCD19C013CD1AF341CD1BC01ACA
:10047000CD1C0446CD1DC024CD1E1000CD1FC025AF
:10048000CD200A00CD21C026CD220C0CCD23C027C3
:10049000CD240C0CCD25C029CD2600A0CD27C03001
:1004A000CD280A00CD29C03CCD2A001CCD2B000050
:1004B000CD2C2B84CD2D3C74CD2E6435CD2FDFF487
:1004C000CD306435CD312806CD323006CD3385654B
:1004D000CD342B24CD353C24CD366436CD371002B7
:1004E000CD382B24CD393C24CD3A6436CD3B404524
:1004F000CD3C8656CD3D1002CD3E2807CD3F31A7DD
:10050000CD4020C4CD413C24CD426724CD431002D0
:10051000CD442807CD453187CD4620C4CD473C2466
:10052000CD486724CD491002CD4A2514CD4B3C64FB
:10053000CD4C6436CD4DDFF4CD4E6436CD4F100238
:10054000CD502806CD513CB6CD52C161CD5361345A
:10055000CD546135CD555443CD560303CD57652455
:10056000CD58000BCD591002CD5AD019CD5B2104C6
:10057000CD5C3C24CD5D2105CD5E3805CD5F652485
:10058000CD60DFF4CD614005CD626524CD632E8D55
:10059000CD64303DCD655DD3CD660306CD672FF7C5
:1005A000CD6838F7CD6960B7CD6ADFFDCD6B000A45
:0C05B000CD6C1002CD6D000052A76B0E48
:00000001FF
:10000000D8004009D8012FFFD802300FD80340AAEA
:10001000D804401CD805401ED8062FF4D8073DC48C
:10002000D8082035D8093035D80A6524D80B2CB229
:10003000D80C3012D80D1002D80E26E2D80F30227C
:10004000D8101002D81127D2D8123022D81310029B
:10005000D8142822D8153012D8161002D817249296
:10006000D8183022D8191002D81A2772D81B30128B
:10007000D81C1002D81D23D2D81E3022D81F10023F
:10008000D82022CDD821301DD82227F2D8233022E3
:10009000D8241002D8255553D8260307D82725225F
:1000A000D8283022D8291002D82A2142D82B301241
:1000B000D82C1002D82D4016D82E5E63D82F0344BA
:1000C000D8302142D8313012D8321002D833400E05
:1000D000D8342522D8353022D8361002D8372B52C2
:1000E000D8383012D8391002D83A2742D83B3022BB
:1000F000D83C1002D83D25E2D83E3022D83F10022D
:10010000D8402FA4D8413DC4D8426624D843414B9F
:10011000D84456B3D84503C6D846866BD847400C5A
:10012000D8482712D8493012D84A1002D84B2C4B45
:10013000D84C309BD84D56B3D84E03C3D84F866B9E
:10014000D850400CD8512272D8523022D8531002C5
:10015000D8542742D8553022D8561002D85725E215
:10016000D8583022D8591002D85A2FB4D85B3DC481
:10017000D85C6624D85D56B3D85E03C3D85F866B5F
:10018000D860401CD8612C45D8623095D8635B5349
:10019000D8642372D8653012D86613C2D8675CC39E
:1001A000D8682712D8693012D86A1312D86B2B522C
:1001B000D86C3012D86D1002D86E2742D86F30221A
:1001C000D8701002D8712582D8723022D8731002EC
:1001D000D8742142D8753012D8761002D877628F41
:1001E000D8782985D87933A5D87A25E2D87B3022EA
:1001F000D87C1002D87D5653D87E03D2D87F401EBB
:10020000D8806F72D8811002D882628FD88323047D
:10021000D8843C84D8856436D886DFF4D8876436A1
:10022000D8882FF5D8893005D88A8656D88BDFBA7A
:10023000D88C56A3D88DD05AD88E2972D88F301228
:10024000D8901392D891D05AD89256A3D893DFBAA7
:10025000D8940383D8956F72D8961002D8972B45FF
:10026000D8983005D8994178D89A5653D89B0384AA
:10027000D89C2A62D89D3012D89E1002D89F2F0594
:10028000D8A03005D8A141C8D8A25653D8A303821C
:10029000D8A40002D8A54218D8A62474D8A73C84B4
:1002A000D8A86437D8A9DFF4D8AA6437D8AB2FF51B
:1002B000D8AC3C05D8AD8757D8AEB888D8AF9787AB
:1002C000D8B0DFF4D8B16724D8B2866AD8B36F72D9
:1002D000D8B41002D8B52641D8B63021D8B710010D
:1002E000D8B8C620D8B90000D8BAC621D8BB0000FB
:1002F000D8BCC622D8BD00CED8BEC623D8BF007F8A
:10030000D8C0C624D8C10032D8C2C625D8C3000080
:10031000D8C4C627D8C50000D8C6C628D8C700008C
:10032000D8C8C62CD8C90000D8CA0000D8CB2641EE
:10033000D8CC3021D8CD1001D8CEC502D8CF53ACFF
:10034000D8D0C503D8D12CD3D8D2C600D8D32A6EE2
:10035000D8D4C601D8D52A2CD8D6C605D8D7555753
:10036000D8D8C60CD8D95400D8DAC710D8DB0700C3
:10037000D8DCC711D8DD0F06D8DEC718D8DF0700D4
:10038000D8E0C719D8E10F06D8E2C720D8E3470064
:10039000D8E4C721D8E50F06D8E6C728D8E7070074
:1003A000D8E8C729D8E91207D8EAC801D8EB7F50A6
:1003B000D8ECC802D8ED7760D8EEC803D8EF7FCE6E
:1003C000D8F0C804D8F1520ED8F2C805D8F35C11A1
:1003D000D8F4C806D8F53C51D8F6C807D8F740611C
:1003E000D8F8C808D8F949C1D8FAC809D8FB3840A4
:1003F000D8FCC80AD8FD0000D8FEC821D8FF0002EA
:10040000D900C822D9010046D902C844D903182FFF
:10041000D904C013D905F341D906C084D9070030E7
:10042000D908C904D9091401D90ACB0CD90B000485
:10043000D90CCB0ED90DA00AD90ECB0FD90FC0C045
:10044000D910CB10D911C0C0D912CB11D91300A02B
:10045000D914CB12D9150007D916C241D917A0005B
:10046000D918C243D9197FE0D91AC604D91B000E86
:10047000D91CC609D91D00F5D91EC611D91F000EF9
:10048000D920C660D9219600D922C687D923000475
:10049000D924C60AD92504F5D9260000D927264132
:1004A000D9283021D9291001D92AC620D92B14E501
:1004B000D92CC621D92DC53DD92EC622D92F3CBE57
:1004C000D930C623D9314452D932C624D933C5C50F
:1004D000D934C625D935E01ED936C627D93700000C
:1004E000D938C628D9390000D93AC62CD93B0000E2
:1004F000D93C0000D93D2B84D93E3C74D93F6435AA
:10050000D940DFF4D9416435D9422806D9433006B1
:10051000D9448565D9452B24D9463C24D94764362E
:10052000D9481002D9492B24D94A3C24D94B6436E6
:10053000D94C4045D94D8656D94E5663D94F030202
:10054000D950401ED9511002D9522807D95331A78A
:10055000D95420C4D9553C24D9566724D957100200
:10056000D9582807D9593187D95A20C4D95B3C2496
:10057000D95C6724D95D1002D95E24F4D95F3C644C
:10058000D9606436D961DFF4D9626436D963100268
:10059000D9642006D9653D76D966C161D9676134D1
:1005A000D9686135D9695443D96A0303D96B652485
:1005B000D96C00FBD96D1002D96E20D4D96F3C24C0
:1005C000D9702025D9713005D9726524D9731002EC
:1005D000D974D019D9752104D9763C24D97721054D
:1005E000D9783805D9796524D97ADFF4D97B4005E3
:1005F000D97C6524D97D2E8DD97E303DD97F2408C4
:10060000D98035D8D9815DD3D9820307D98388872A
:10061000D98463A7D9858887D98663A7D987DFFD61
:10062000D98800F9D9891002D98A0000878C30D97D
:00000001FF
Markdown is supported
0%
or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment