Commit ee923623 authored by Daniel Lezcano's avatar Daniel Lezcano Committed by David S. Miller

veth : add the set_mac_address capability

Fix lost set_mac_address capability.
Signed-off-by: default avatarDaniel Lezcano <daniel.lezcano@free.fr>
Signed-off-by: default avatarDavid S. Miller <davem@davemloft.net>
parent b956d411
......@@ -263,10 +263,11 @@ static void veth_dev_free(struct net_device *dev)
}
static const struct net_device_ops veth_netdev_ops = {
.ndo_init = veth_dev_init,
.ndo_open = veth_open,
.ndo_start_xmit = veth_xmit,
.ndo_get_stats = veth_get_stats,
.ndo_init = veth_dev_init,
.ndo_open = veth_open,
.ndo_start_xmit = veth_xmit,
.ndo_get_stats = veth_get_stats,
.ndo_set_mac_address = eth_mac_addr,
};
static void veth_setup(struct net_device *dev)
......
Markdown is supported
0%
or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment